Browse Title Index


 
Issue Title
 
Vol 5, No 6 (2018): Vol-05-Issue-06-March-2018-Special-Issue-Conference-Papers Implementation of High Performance FIR Filter Using Low Power Multiplier and Adder Abstract   PDF
Syed Jakisharif, Musharrath jahan, Rallabandi Saicharani
 
Vol 4, No 7 (2017): Vol-04_Issue-07_June_2017 Implementation of High Speed Multiplier in DSP Applications Using Mesochronous Pipelining In FPGA Abstract
Swaroop Kumar Macherla
 
Vol 5, No 17 (2018): Vol-05-Issue-17-July-2018 Implementation Of High Speed Sense Amplifier For 6 T Sram With Highly Configurable Low-Voltage Write-Ability Assist Method Abstract   PDF   PDF
PUTHI YASWANTH KUMAR, BALA KRISHNA
 
Vol 4, No 10 (2017): Vol-04_Issue-10_September_2017 Implementation Of High Throughput And Area Efficient Hard Decision Viterbi Decoder Using Verilog Hdl Abstract   PDF
Parimi Hanumantha Rao, T. Vineela
 
Vol 3, No 3 (2016): International Conference on Research and Recent Trends in Engineering and Technology. (ICRRTET) 2016 Implementation of Human Rescue Spy Robot Using Microcontroller Abstract   PDF
Pankaj Hage, Pushpak Kumar, Pratik Jununkar, Shubham Chate, Ashish Rathod, Nageshwar Badkhal
 
Vol 4, No 17 (2017): Vol-04-Issue-17-December-2017 Implementation of Human Resource Information System Abstract   PDF
Priyanka Tyagi
 
Vol 7, No 10 (2020): Vol-7-Issue-10-October-2020 Implementation Of ICT In Developing Listening Comprehension Of Preschool Children In English Abstract
Yunusova Malika Miralimovna
 
Vol 3, No 17 (2016): Vol-3_Issue-17_November_2016 Implementation of Identity Based Encryption for Data Security in Cloud Environment Abstract   PDF
Sudha Jillella
 
Vol 3, No 18 (2016): Vol-3_Issue-18_December_2016 Implementation of image forgery detection using adaptive over segmentation and feature point matching Abstract   PDF
P. Srilatha
 
Vol 4, No 13 (2017): Vol-04-Issue-13-October-2017 Implementation of Inductor based shunt Voltage controller by using Fuzzy Logic Controller Abstract   PDF
Bhookya Bhaskar
 
Vol 4, No 2 (2017): Vol-04_Issue-02_February_2017 Implementation of Industrial Data Acquisition, management and Guiding using IoT Abstract   PDF
Kancherla. Leela Anusha, Anusha Basamsetti
 
Vol 7, No 10 (2020): Vol-7-Issue-10-October-2020 Implementation Of Innovative Management In The Passenger Transportation System And Automatic Passenger Payment System In Passenger Transportation Abstract   PDF
Turaev Shoyadbek Akhmadjonovich, Shukurov M, Soliev Ahrorbek
 
Vol 2, No 10 (2015): VOL-2_ISSUE-10_October_2015 Implementation of Intelligent Control Strategy for Boost Converter-Inverter for Hybrid Vehicle Abstract   PDF
Ch V N Saikumar, U. Ramanaiah
 
Vol 7, No 4 (2020): Vol-7-Issue-4-April-2020 Implementation of Intelligent Embryonic Green House System Abstract   PDF
Wen-Bin Lin, Kao-Feng Yarn
 
Vol 4, No 2 (2017): Vol-04_Issue-02_February_2017 Implementation of Intercommunication System using Communication Protocol in Automobiles Abstract   PDF
Ungarala Satya Rama Manikanta, K. Rajasekhar
 
Vol 4, No 14 (2017): Vol-04-Issue-14-November-2017 Implementation of Islanding Detection Using Phase-Locked Loops in Three-Phase Electrical Grid-Interface Power Convertors Abstract   PDF
Mahesh Thati, Mahesh Jonnala
 
Vol 5, No 16 (2018): Vol-05-Issue-16-June-2018 Implementation of Layer 3 Vpns over Layer 2 Vpn Topologies Using Gns3 Software Abstract   PDF
S. Anuradha, T.Srinivasa Padmaja
 
Vol 5, No 4 (2018): Vol-05-Issue-04-February-2018 Implementation of Lifetime and Energy Hole Evolution Analysis in Data-Gathering WSNs Abstract   PDF
N. Savitha
 
Vol 4, No 10 (2017): Vol-04_Issue-10_September_2017 Implementation of Line of Balance in Bridge Construction Project Abstract   PDF
Amit Sharma, Vikas Sharma, Swatilekha Guha Bodh, Rajesh Bhargava
 
Vol 1, No 11 (2014): Vol-1_Issue-11_December_2014 Implementation of Linear Network on OpenGL-enabled Cards Abstract   PDF
Devesh Kasturia, Akshat Sharma, Govind Rajput, Kartik Singh
 
Vol 4, No 17 (2017): Vol-04-Issue-17-December-2017 Implementation of Low power Baugh-Wooely Multiplier and Modified Baugh Wooely Multiplier Using Cadence (Encounter) RTL in DSM Technology Abstract   PDF
D. Sravani, P. Thirupathi
 
Vol 3, No 17 (2016): Vol-3_Issue-17_November_2016 Implementation of Low Power Full Adder Using Semi XOR Semi XNOR on 120 nm Technology Abstract   PDF
Veena Tiwari, Mohd. Abuzer Khan
 
Vol 3, No 9 (2016): Vol-3_Issue-9_May_2016 Implementation of Low Power High Speed 32 bit ALU using FPGA Abstract   PDF
J. P. Verma, Maaz Arif, Brij Bhushan Choudhary, Nitish Kumar
 
Vol 4, No 10 (2017): Vol-04_Issue-10_September_2017 Implementation of Low Power Programmable Prpg With Test Compression Capabilities Abstract   PDF
J. Padmavathi, G. Ramesh
 
Vol 5, No 01 (2018): Vol-05-Issue-01-January-2018 Implementation of Low-Cost High-Performance Montgomery Modular Multiplication Abstract   PDF
Medepalli Narasimha Rao, Keerti kumar korlapati
 
8826 - 8850 of 15699 Items << < 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359 360 361 362 363 364 365 366 > >>